Схемы ламповых модуляторов для ам передатчика. Радиостанции простые в изготовлении

Ламповый модулятор класса D: позволяет повысить КПД радиопередатчика в режиме АМ до 85-90 % .
В качестве ключевого элемента используется тетрод. Тетрод требует для возбуждения меньших затрат мощности в цепи управляющей сетки, чем триод.
При работе: значительная часть периода частоты коммутации тетрод находится в насыщении, при этом величина остаточного напряжения на аноде мала, следовательно, резко возрастает ток экранирующей сетки. Для устранения недостатка, выбирается режим: чтобы мощность потерь на экранирующей сетке не превосходила допустимый уровень.
К аноду Л1, через Диод(D2) подключен Uдоп. источник постоянного напряжения. Он фиксирует остаточное U аноде в открытом состоянии, и уменьшает i ток экранирующёй сетки, снижает статические потери на экранирующей сетке Л1(не связанных с процессами переключения). Мощность потерь на экранирующей сетке оказывается ограниченной и не будет превосходить допустимый уровень, т. к. i ток экранирующей сетки не может возрасти более величины, определяемой напряжением Uдоп., а мощность потерь на аноде будет в несколько раз меньше допустимого.
Величину напряжения Uдоп следует выбирать исходя из допустимого уровня потерь в цепи экранной сетки при сохранении достаточно высокого КПД. Расчет показывает, что хорошие результаты можно получить при выборе Uдоп ≈0,1 Еа. В данном случае, повышается выходная мощность радиопередатчика с модулятором класса D почти вдвое, при снижении КПД модулятора: на-10%.

Рис.1
Модулирующий сигнал Uвх поступает на вход формирователя ШИМ сигнал, который формирует на управляющей сетке импульсы напряжения, длительность которых пропорциональна величине модулирующего сигнала. Соответственно напряжение на аноде Л1 также имеет форму ШИМ-импульсов. Изменяющаяся в соответствии с модулирующим сигналом, составляющая этого напряжения выделяется фильтром низкой частоты, состоящим из(Др и С). рис.1
Расчет показывает, номинальную выходную мощность радиопередатчика в однотактном модуляторе класса D на тетроде ГУ-81м с 200вт. до 600вт при некотором снижении КПД модулятора (с 95 до 85 %). При этом мощность, рассеиваемая на экранирующей сетке, не будет превосходить допустимый уровень (0,4 кВт), а возрастающая мощность потерь на аноде будет в несколько раз меньше допустимого значения(600Вт).
С целью повышения КПД в двухтактных анодных модуляторах, вместо усилителя класса В - может быть использован модулятор класса D.
В отличие от одноактного усилителя, двухтактный работает при скважности импульсов, равной двум (периодам начальных колебаний), напряжение на выходе модулятора отсутствует, поскольку суммарное среднее значение этих импульсов равно нулю. Напряжение, звуковой частоты Uзв.ч (рис.3) с блока ШИМ (рис.2)преобразуется в две последовательности, широтно-модулированных импульсов G1 и G2 противоположной полярности при скважности импульсов, равной двум начальным переродам колебаний (рис.3),поступают на лампы Л1 и Л2 работающих в ключевом режиме.

Кодированные аудио-импульсы с модулятора ШИМ поступает на вход оптроновой-развязки 6N137. На выходе 6N137: сигнал инвертирован. Поэтому используются два дополнительных буферных инвертирующих элементов D1.1 и D1.3. - (D1-74HC14) инвертирующие триггеры Шмитта.(рис.4)Инвертирование сигнала для нижнего ключа производится инвертором D1.2. Управляющие сигналы верхнего и нижнего ключа поступают на узлы формирования dead-time. Они выполнены на логических элементах «И» D2.1 и D2.2. - (D2-74HC08) . В результате происходит задержка только передних фронтов поступающих импульсов. Величина задержек и, следовательно, dead-time определяется произведениями R3*C3 и R4*C4 и может быть подстроена под параметры силового модуля.Дальнейшая обработка сигналов управления верхнего и нижнего ключа происходит по-разному:
Сигнал нижнего ключа - усиливается на микросхеме MAX4420 и поступает на выход драйвера.
Сигнал верхнего ключа - усиливается на микросхеме MAX4420 и имет «плавающий» потенциал общего провода. Поэтому необходима гальваническая развязка. В данном случае использована трансформаторная развязка с коррекцией постоянной составляющей.
Для частотного диапазона 100-300 кГц и коэффициент заполнения от 0 до 0.5 такое решение работы - вполне удовлетворительно.
Параметры трансформатора: Т1(сердечник М 2500 НМС 16*10*8) обмотка 2*13 вит. Эти значение ориентированы на частотный диапазон 100-300 кГц. Если необходимо работать при более низких частотах, количество витков нужно увеличить.а наболее высоких частотах количество витков нужно уменьшить. Монтаж драйвера полумоста на рис.5

Рис. 5 вариант компоновки и конструкция драйвера.

Рис.3
На рис.3 представлена схема: к нагрузке подводится переменная составляющая (напряжение звуковой частоты) через разделительный Cp а постоянная составляющая - через модуляционный дроссель Lg.С целью предотвращения обрывов тока через индуктивность Lф при переключении ламп Л1и Л2 используются диод D1 и D2 ,шунтирующие лампы Л1и Л2 и пропускающие токи ivD1 и ivD2 в требуемые интервалы времени В соответствии с направление тока в нагрузке и в дросселе положительный полупериод усиленного напряжения работает только Л1 и D2.,а в отрицательныйЛ2 и D1.
Напряжение на выходе модулятора отсутствует, поскольку суммарное среднее значение этих импульсов равно нулю. Зависимости изменения величин средних токов через лампы и диоды, отнесенные к пиковому значению. Зависимость мощности, отдаваемой двухтактным модулятором на выходной каскад передатчика от коэффициента АМ зависимость и получения КПД.
Покатому принципу построены анодные модуляторы для радиовещательных передатчиков до 500квт. Разработанные фирмой Маркони.

Повышение эффективности мощных радиопередающих устройств / Под ред. А. Д. Артыма:Связь1987.
Зарубежные радиопередающие устройства / Под ред. Г. А. Зейтленка, А. Е. Рыжкова - М. : Радио и связь, 1989.
Патент США N 4272737, кл. H 03 F 3/217, 1981.

Простая схема АМ КВ передатчика на любительский диапазон 3 МГц для начинающего радиолюбителя: подробное описание работы и устройства

Предлагаемая схема передатчика не содержит дефицитных деталей и легкоповторима для начинающих радиолюбителей, делающих свои первые шаги в этом увлекательном, захватывающем увлечении. Передатчик собран по классической схеме и имеет неплохие характеристики. Многие, вернее сказать, все радиолюбители начинают свой путь именно с такого передатчика.

Сборку нашей первой радиостанции целесообразно начать с блока питания, схема которого приведена на рисунке 1:

рисунок 1:

Трансформатор блока питания можно применить от любого старого лампового телевизора. Переменное напряжение на обмотке II должно иметь значение около 210 – 250 v, а на обмотках III и IV по 6,3 v. Так как через диод V1 будет течь ток нагрузки, как основного выпрямителя, так и дополнительного, то он должен иметь максимально допустимый выпрямленный ток в два раза больше, чем остальные диоды.
Диоды можно взять современного типа 10А05 (обр. напр. 600V и ток 10А) или, еще лучше, с запасом по напряжению – 10А10 (обр. напр. 1000V, ток 10А), при использовании в усилителе мощности передатчика ламп помощнее, нам этот запас может пригодиться.

Конденсаторы электролитические С1 – 100 мкф х 450в, С2, С3 – 30мкф х 1000в. Если в арсенале нет конденсаторов с рабочим напряжением 1000в, то можно составить из 2-х последовательно включенных конденсаторов 100 мкф х 450в.
Блок питания необходимо выполнить в отдельном корпусе, это уменьшит габаритные размеры передатчика, а так же его вес и в дальнейшем можно будет использовать его как лабораторный, при сборке конструкций на лампах. Тумблер S2 устанавливается на передней панели передатчика и служит для включения питания, когда блок питания находится под столом или на дальней полке, куда ох как не охота тянуться (можно исключить из схемы).

рисунок 2:

Детали модулятора:

С1 – 20мкфх300в, С7 – 20мкфх25в, R1 – 150k, R7 – 1.6k, V1 – Д814А,
C2 – 120, C8 – 0.01, R2 – 33k, R8 – 1м переменный, V2 – Д226Б,
С3 – 0,1, С9 – 50мкфх25в, R3 – 470k, R9 – 1м, V3 – Д226Б,
С4 – 100мкфх300в, С10 – 1 мкф, R4 – 200k, R10 – 10k,
C5 – 4700, C11 – 470, R5 – 22k, R11 – 180,
C6 – 0,1, R6 – 100k, R12 – 100k – 1м
Микрофон электретный от кассетного магнитофона или телефонной гарнитуры (таблетка). Выделенная красным цветом часть схемы необходима для питания микрофона, если вы предполагаете использовать только динамический микрофон, то ее можно удалить из конструкции. Подстроечным резистором R2 устанавливают напряжение + 3в. R8 – регулятор громкости модулятора.
Выходной трансформатор от лампового приемника или телевизора типа ТВЗ, можно также использовать и трансформаторы кадровой развертки ТВК – 110ЛМ2 например.

Настройка заключается в измерении и при необходимости, корректировки напряжений на выводах (1) +60в, (6) +120в, (8) +1,5в лампы 6Н2П и на выводах (3) +12в, (9) +190в 6П14П.

рисунок 3:

Детали передатчика.

С1 – 1 секция кпе 12х495, С10 – 0,01, R1 – 68к
С2 – 120, С11 – 2200, R2 – 120к
С3 – 1000, С12 – 6800, R3 – 5,1к
С4 – 1000, С13 – 0,01, R4 – 100к переменный
С5 – 0,01, С14 – 0,01, R5 – 5,1к
С6 – 100, С15 – 0,01, R6 – 51
С7 – 0,01, С16 – 470 х 1000в, R7 – 220к переменный
С8 – 4700, С17 – 12 х 495, R8 – 51
С9 – 0,01, R9 – 51
R10 – 51
Катушка ГПД L1 намотана на каркасе диаметром 15мм и содержит 25 витков провода ПЭВ 0,6 мм. Дроссель в катоде лампы L2 применен заводского изготовления и имеет индуктивность 460 мкГн. Я использовал в своей конструкции дроссель от телевизора, намотанный на резисторе МЛТ – 0.5 проводом в щелковой обмотке. Дроссели L3 – L6 намотаны между щечками на резисторах старого образца ВС-2 и имеют 4 секции по 100 витков провода ПЭЛ-2 диаметром 0.15мм. Дроссели L7 и L8 имеют по 4 витка провода ПЭВ диаметром 1 мм намотанных поверх резисторов R8 и R9 МЛТ-2 сопротивлением 51 Ом и служат для защиты оконечного каскада от самовозбуждения на высоких частотах. Анодный дроссель L9 наматывается на керамическом или фторопластовом каркасе диаметром 15 – 18 мм и длинной 180 мм. проводом ПЭЛШО 0.35 виток к витку и имеет 200 витков, последние 30 витков с шагом 0,5 – 1 мм.
Контурная катушка L10 наматывается на керамическом, картонном или деревянном каркасе диаметром 50 мм и имеет 40 витков провода ПЭЛ-2 диаметром 1мм. При использовании деревянного каркаса, его следует хорошо высушить и пропитать лаком, иначе при воздействии высокого вч тока он будет усыхать, что приведет к деформации намотки и возможно даже пробою между витками.
С17 – сдвоенный кпе от лампового приемника с удаленными через одну пластинами в подвижном и неподвижном блоке.
Переменным резистором R4 устанавливается смещение на управляющей сетке лампы 6П15П, а резистором R7 ламп 6П36С.
Реле могут быть любого типа на напряжение 12в с зазором между контактами 1мм с током коммутации 5А.
Амперметр на ток 100 мА,
Настройка оконечного каскада в резонанс производиться по минимальным показаниям миллиамперметра.

Цепь смещения показана на рисунке 4:

рисунок 4:

Трансформатор Т1, любой понижающий трансформатор 220в/12в с обратным включением. Вторичная (понижающая) обмотка включена в цепь накала ламп, а первичная служит повышающей. На выходе выпрямителя получается порядка -120в и используется для установки смещения ламп оконечного каскада передатчика.

Полезная вещь!

На рисунке выше представлена схема индикатора напряженности поля. Это схема простейшего детекторного приемника, только вместо головных телефонов в нем установлен микроамперметр, по которому мы можем визуально наблюдать за уровнем сигнала при настройке передатчика в резонанс.

Приведена принципиальная схема любительского радиопередатчика, работающего в диапазоне средних волн (СВ) с амплитудной модуляцией.

Как известно, средние волны радиовещательного диапазона уже покинули многие радиостанции, окончательно перейдя наУКВ. И этому есть вполне объективные причины. Вот я вчера включил приемник на СВ (MW), и кроме атмосферных шумов ничего не услышал.

Правда, вечером что-то едва прослушивалось сильно издалека, и на совсем непонятном языке. И вот, наше уважаемое Федеральное Агентство Связи решило оживить обстановку, и выделить для индивидуального радиовещания полосу частот 1449-1602 кГц, то есть «верхушку» СВ радиовещательного диапазона. Что само по себе, весьма разумно, хотя и поздновато.

24 апреля сего года Федеральное Агентство Связи разослало информационные письма на эту тему всем заинтересованным, по их мнению, лицам. Желающие изучить вопрос максимально полно, могут обратиться на сайт cqf.su. Вся документация там есть, либо ссылки на неё.

Вкратце, суть дела в том, что индивидуальное радиовещание в РФ теперь официально разрешено. Можно самостоятельно разрабатывать, изготавливать аппаратуру для индивидуального радиовещания, и свободно публиковать эти разработки в радиотехнической литературе.

Что нужно знать радиолюбителю, пожелавшему испытать себя в деле индивидуального радиовещания:

  1. Диапазон, на частоте в котором должен работать передатчик лежит в пределах 1449-1602 кГц. При этом, сетка частот в нем с шагом в 9 кГц. То есть, можно посчитать, 1449 кГц, 1458 кГц, 1467 кГц и т.д. Выход за пределы сетки не допускается, и будет наказываться.
  2. Мощность передатчика для учебных и демонстрационных целей может быть не более 1 Вт.
  3. Мощность передатчика для школьных радиокружков - не более 25 Вт.
  4. Мощность передатчика для центров детского и подросткового технического творчества - до 50 Вт.
  5. Мощность передатчика для технических колледжей и техникумов, а так же, индивидуальных радиовещателей - до 100 Вт.
  6. Мощность передатчика для технических ВУЗов - до 250 Вт.
  7. Мощность передатчика для технических университетов и клубов индивидуальных радиовещателей -до 500 Вт.
  8. Тип излучения, - с амплитудной модуляцией, с полосой модулирующего сигнала 50-8000 Гц - 16K0A3EEGN, соответственно второму тому Регламента Радиосвязи.
  9. Ну и теперь, как полагается, «ложка дегтя», - необходимо зарегистрироваться как СМИ, получить лицензию, разрешение на использование частоты, и произвести ввод в эксплуатацию оборудования. И все это на тех же условиях, что и для профессиональных радиовещателей. Так что, сами понимаете...

Как бы там ни было, но «творчество поперло». Ну, как же, такая новая тема для приложения обожженных паяльником рук и прокопченных канифолью мозгов! И вот что, лично у меня, «выперло»:

За долгие годы существования радиолюбительства было создано и опубликовано множество схем передатчиков для работы в диапазоне 160 метров. Подвинуть частоту такого передатчика в диапазон 1449-1602 кГц здесь будет уже совсем не сложно.

Соответственно, принять меры к стабилизации частоты несущей (в простейшем случае кварцевым резонатором). Остается завести амплитудную модуляцию, например, по питанию выходного каскада усилителя мощности. Ну и, практически, дело сделано, можно идти по кабинетам собирать бумажки...

Принципиальная схема передатчика

На рисунке показана схема простого передатчика, в принципе, удовлетворяющего требованиям «для учебных и демонстрационных целей».

Практически, это слегка измененный передатчик Я. С. Лаповка (Л.1), частота которого сдвинута в нужный диапазон путем замены кварцевого резонатора, и перестройкой контура, плюс, заведена амплитудная модуляция в выходной каскад.

И вот, готов передатчик «для учебных и демонстрационных целей» или «пионерлагеря».

Рис. 1. Принципиальная схема АМ передатчика на вещательный диапазон 1449-1602 кГц.

Кварцевый резонатор Q1 задает частоту несущей, он должен быть на ту частоту, на которой планируется вести вещание, то есть на частоту в диапазоне 1449-1602 кГц с учетом сетки с шагом в 9 кГц (например, на 1467 кГц).

Пожалуй, кварцевый резонатор в этой схеме наиболее трудно доступная деталь. Впрочем, эта проблема решается. Можно приобрести резонатор на наиболее близкую частоту, отличающуюся на несколько кГц от нужной. И подогнать включением последовательно ему дополнительной емкости или индуктивности.

Не говоря уже об известных механических способах доводки частоты кварцевого резонатора.

Амплитудная модуляция осуществляется с помощью схемы на транзисторах VТЗ и VТ4. Транзистор VТЗ регулирует питание выходного каскада передатчика. Сигнал НЧ поступает на базу VТ4.

Режим работы схемы модуляции устанавливают подстроечным резистором R6, регулирующим напряжение смещения на базе VТ4.

Детали передатчика

Катушка L1 - готовый дроссель на ток до 2А индуктивностью 10 мкГн. Катушка L2 намотана проводом ПЭВ-2 0,43 на каркасе диаметром 16 мм и содержит 70 витков, намотка ведется «виток к витку». Катушка связи L3 намотана поверх витков L2 таким же проводом, ее число витков подбирается под конкретную антенну.

Налаживание

При налаживании, режим работы каскада на VТ1 выставляют до установки кварцевого резонатора. Подбором R1 добиваются напряжения 5-6V на его эмиттере. Затем замкнуть перемычкой коллектор-эмиттер VТ3, и подбором сопротивления R3 выставить ток покоя VТ2 на уровне 60-80 mA.

После этого подключить резонатор и выполнить настройку передатчика под конкретную антенну. Удалить перемычку с VТ3 и настроить схему модулятора резистором R6.

И в заключение, хочу высказать свое личное мнение относительно этой инициативы. Конечно, отдать кусок уже пустого радиовещательного диапазона под любительское радиовещание, сама по себе идея хорошая, хотя и запоздалая лет на двадцать. К тому же бюрократия, как обычно, может все испортить.

На мой взгляд, здесь следовало бы применить такие же правила, что и для любительской радиосвязи на КВ-диапазонах. То есть, зарегистрировать позывной, категорию (максимальную мощность), и позволить вещать на любой свободной в данный момент частоте диапазона 1449-1602 кГц. Ну, может быть, заставить подписать какие-то документы, ограничивающие тематику вещания (чтобы не было всякой незаконной деятельности).

Было бы очень интересно разрешить там и частное цифровое радиовещание. В противном случае, дело может засохнуть на корню.

Снегирев И. РК-08-16.

Литература:

  1. Лаповок Я. С. Твой первый передатчик. Р-2002-08.
  2. cqf.su.

Передатчик выполнен на базе синтезатора С9-1449-1800. На выходе синтезатора установлен колебательный контур с катушкой связи и со схемой согласования для проволочной антенны, в виде наклонного или горизонтального многопроводного луча, длиной 35-55 метров, поднятого на высоту 20-30 метров. Питание выходных транзисторов синтезатора (КТ608Б) осуществляется через эмиттерный повторитель на транзисторе П701, который своей базой подключен к операционному усилителю 140УД6 в цепи модулирующего сигнала. То есть, имеет место классическая коллекторная модуляция с регулирующим транзистором. Выходная мощность такого передатчика в режиме молчания 0,8 ватта, при модуляции синусоидальным сигналом (телефонная мощность) — 1,2 Вт, на пиках модуляции — до 3-х ватт. Этого достаточно, чтобы в условиях городской застройки обеспечить уверенный прием в радиусе 1,5 км; для сельской местности или для поселков с малоэтажной застройкой радиус вещания уже будет до 3-х километров. То есть, это передатчик для студенческих городков, дачных поселков и деревень, пионерских и студенческих лагерей, отдаленных воинских гарнизонов. Его также с успехом можно использовать для демонстрации радиовещания школьникам и студентам на занятиях по физике и радиотехнике.

Принципиальная схема радиопередатчика

  • чертеж платы модулятора и выходного колебательного контура

Однако, при всей своей простоте, этот передатчик полностью удовлетворяет качественным показателям на радиовещательные передатчики в соответствии с ГОСТ Р 51742-2001.

Питается передатчик от сетевого выпрямителя с силовым трансформатором ТН32-127/220-50 и дросселем фильтра Д16-0,08-0,8.

На передней панели передатчика размещены:

  • тумблер включения питания,
  • два переключателя на 4 и 10 положений для установки номинала частоты синтезатора,
  • ручка переменного конденсатора настройки выходного колебательного контура,
  • переключатель витков удлинительной катушки (11 положений) схемы настройки антенны,
  • тумблер «настройка-работа», переключающий выходную мощность: 40% и 100%.
  • синий светодиод — индикатор «Ток антенны»,
  • красный светодиод (горит в режиме настройки) — индикатор «Ток выходного каскада».

На задней панели размещены:

  • разъем сетевого питания 220 В, 50 Гц,
  • два «тюльпана» — линейный вход сигнала модуляции (сумматор стереоканалов — внутри),
  • клемма «Земля», для подключения к контуру заземления (обязательно!) и к противовесам,
  • клемма «Антенна 1» для подключения антенны, длиной меньше четверти волны,
  • клемма «Антенна 2» для подключения антенны, длиной равной или больше четверти волны.

Размеры шасси передатчика: 220×110×120 мм.

Задающий генератор.
Для достижения стабилизации частоты в управляющей сетке необходимо использовать конденсаторы КСО группы Г +-5%. Контур мотается на каркасе диаметром 20мм, проводом диаметра 0,8мм 40 витков.

Буферный каскад
Из схемы всё ясно. Её можно упростить убрав Др2 и всё остальное подходящее к нему. Поставить одно сопротивление 27к от управляющей сетки на массу. Также можно подавать модуляцию один вывод трансформатора сразу на 3-ю ножку, а другой на массу всё остальное убрать. Модулятор должен быть ламповый и выдавать на выходе модуляционного трансформатора 200вольт и выше можно использовать ТС-180 со старых ламповых телевизоров.


Выходной каскад
Др1 мотается проводом 0,23-0,35мм на керамическом каркасе диаметром 10-15мм, четыре секции по 80 витков в навал. Др2 мотается тремя проводами на толстом феритовом стержне (с любого приёмника где есть магнитная антенна) накальные проводом 1,0-1,5мм катодный 0,5мм. Мотается до полного заполнения оставив место для его крепления. Контур мотается на каркасе диаметром 50мм проводом 2,0мм 35-38 витков. Для более полного расчёта П-контура можно использовать программу: жми сюда


Антенна
Аненна используемая с этим передатчиком "Американка" длина полотна 48м проводом 1,6мм снижения 12м проводом 1,0мм. Снижение подключается на растоянии 1/3 от горячего конца.


Но можно использовать любую другую антенну, какая вам нравиться!